Theorem. (t31_sin_cos3)
∀k1_funct_1 : setsetset, ∀v1_partfun1 : setsetprop, ∀k16_sin_cos : set, ∀m2_subset_1 : setsetsetprop, ∀v5_relat_1 : setsetprop, ∀v1_xcmplx_0 : setprop, ∀k2_xcmplx_0 : setsetset, ∀k3_xcmplx_0 : setsetset, ∀k1_numbers : set, ∀k18_sin_cos : setset, ∀k21_sin_cos : setset, ∀k15_sin_cos : setset, ∀k7_complex1 : set, ∀k23_binop_2 : setsetset, ∀k5_numbers : set, ∀k4_xcmplx_0 : setset, ∀k10_real_1 : setsetset, ∀v3_valued_0 : setprop, ∀k9_binop_2 : setsetset, ∀k5_binop_2 : setsetset, ∀v4_membered : setprop, ∀v2_membered : setprop, ∀v6_membered : setprop, ∀v1_rat_1 : setprop, ∀v1_xxreal_0 : setprop, ∀k17_sin_cos : setset, ∀np__1 : set, ∀k1_binop_2 : setset, ∀esk1_0 : set, ∀esk10_0 : set, ∀esk8_0 : set, ∀np__3 : set, ∀k1_xcmplx_0 : set, ∀np__0 : set, ∀esk4_2 : setsetset, ∀k4_ordinal1 : set, ∀k31_sin_cos : set, ∀esk7_0 : set, ∀v2_xxreal_0 : setprop, ∀esk2_1 : setset, ∀esk6_2 : setsetset, ∀esk5_0 : set, ∀esk9_0 : set, ∀v1_finset_1 : setprop, ∀k1_xboole_0 : set, ∀v7_membered : setprop, ∀k14_sin_cos : setset, ∀k6_numbers : set, ∀k7_binop_2 : setset, ∀k19_binop_2 : setset, ∀k20_sin_cos : setset, ∀k4_numbers : set, ∀v1_int_1 : setprop, ∀k1_real_1 : setset, ∀v5_membered : setprop, ∀v1_membered : setprop, ∀v3_membered : setprop, ∀r1_tarski : setsetprop, ∀k11_binop_2 : setsetset, ∀k3_binop_2 : setsetset, ∀k2_numbers : set, ∀r2_hidden : setsetprop, ∀k24_binop_2 : setsetset, ∀k7_xcmplx_0 : setsetset, ∀v1_relat_1 : setprop, ∀esk3_2 : setsetset, ∀v4_relat_1 : setsetprop, ∀k1_seq_1 : setsetset, ∀k19_sin_cos : set, ∀k7_real_1 : setsetset, ∀k8_real_1 : setsetset, ∀np__2 : set, ∀k32_sin_cos : set, ∀v1_xreal_0 : setprop, ∀v7_ordinal1 : setprop, ∀k3_funct_2 : setsetsetsetset, ∀m1_subset_1 : setsetprop, ∀k2_zfmisc_1 : setsetset, ∀k1_zfmisc_1 : setset, ∀v1_funct_2 : setsetsetprop, ∀v1_funct_1 : setprop, ∀v1_xboole_0 : setprop, (∀X2 X3 X4 X1, (v1_xboole_0 X1False)(m1_subset_1 (k3_funct_2 X1 X3 X2 X4) X3False)v1_funct_1 X2m1_subset_1 X4 X1v1_funct_2 X2 X1 X3m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X1 X3))False)(∀X2 X3 X4 X1, ((k3_funct_2 X1 X3 X2 X4) = (k1_funct_1 X2 X4)False)(v1_xboole_0 X1False)v1_funct_1 X2m1_subset_1 X4 X1v1_funct_2 X2 X1 X3m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X1 X3))False)(∀X2 X1 X3, (v1_xboole_0 X3False)(v1_xboole_0 X2False)v1_xboole_0 X1v1_funct_1 X1v1_funct_2 X1 X2 X3m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X2 X3))False)(∀X3 X2 X1, (v1_partfun1 X2 X1False)v1_xboole_0 X1v1_funct_2 X2 X1 X3m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X1 X3))False)(∀X3 X2 X1, (v1_xboole_0 X1False)(v1_partfun1 X2 X3False)v1_funct_2 X2 X3 X1m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X3 X1))False)(∀X2 X1, (v1_partfun1 X1 X2False)v1_funct_2 X1 X2 X2m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X2 X2))False)(∀X1 X2, ((k1_seq_1 k19_sin_cos (k7_real_1 (k8_real_1 (k8_real_1 np__2 k32_sin_cos) X2) X1)) = (k1_seq_1 k19_sin_cos X1)False)v1_xreal_0 X1v7_ordinal1 X2False)(∀X1 X2, ((k1_seq_1 k16_sin_cos (k7_real_1 (k8_real_1 (k8_real_1 np__2 k32_sin_cos) X2) X1)) = (k1_seq_1 k16_sin_cos X1)False)v1_xreal_0 X1v7_ordinal1 X2False)(∀X3 X1 X2, (v1_funct_2 X1 X2 X3False)v1_partfun1 X1 X2m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X2 X3))False)(∀X1 X3 X2, (v1_xboole_0 X2False)(v1_xboole_0 X1False)(m1_subset_1 X3 X1False)m2_subset_1 X3 X1 X2m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X2 X1 X3, (v1_xboole_0 X3False)(v1_xboole_0 X2False)(m1_subset_1 X1 X3False)m2_subset_1 X1 X2 X3m1_subset_1 X3 (k1_zfmisc_1 X2)False)(∀X3 X1 X2, (v5_relat_1 X1 X2False)m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X3 X2))False)(∀X3 X1 X2, (v4_relat_1 X1 X2False)m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X2 X3))False)(∀X1 X2 X3, ((k2_xcmplx_0 (k3_xcmplx_0 X1 X3) (k3_xcmplx_0 X2 X3)) = (k3_xcmplx_0 (k2_xcmplx_0 X1 X2) X3)False)v1_xcmplx_0 X3v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X1 X3 X2, (v1_xboole_0 X2False)v1_xboole_0 X1m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X1 X3))False)(∀X1 X3 X2, (v1_xboole_0 X2False)v1_xboole_0 X1m1_subset_1 X2 (k1_zfmisc_1 (k2_zfmisc_1 X3 X1))False)(∀X1 X2, (v1_xboole_0 X2False)(v1_xboole_0 X1False)(m2_subset_1 (esk3_2 X1 X2) X1 X2False)m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X2 X1 X3, (v1_xboole_0 X3False)(v1_xboole_0 X2False)(m2_subset_1 X1 X3 X2False)m1_subset_1 X1 X2m1_subset_1 X2 (k1_zfmisc_1 X3)False)(∀X3 X2 X1, (v1_relat_1 X1False)m1_subset_1 X1 (k1_zfmisc_1 (k2_zfmisc_1 X2 X3))False)(∀X1, ((k2_xcmplx_0 (k21_sin_cos X1) (k3_xcmplx_0 (k18_sin_cos X1) k7_complex1)) = (k15_sin_cos (k3_xcmplx_0 X1 k7_complex1))False)m1_subset_1 X1 k1_numbersFalse)(∀X1 X2 X3, ((k3_xcmplx_0 (k3_xcmplx_0 X1 X2) X3) = (k3_xcmplx_0 X1 (k3_xcmplx_0 X2 X3))False)v1_xcmplx_0 X3v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X1 X2 X3, ((k2_xcmplx_0 (k2_xcmplx_0 X1 X2) X3) = (k2_xcmplx_0 X1 (k2_xcmplx_0 X2 X3))False)v1_xcmplx_0 X3v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X1 X2 X3, ((k7_xcmplx_0 (k3_xcmplx_0 X1 X2) X3) = (k3_xcmplx_0 X1 (k7_xcmplx_0 X2 X3))False)v1_xcmplx_0 X3v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, (m2_subset_1 (k23_binop_2 X1 X2) k1_numbers k5_numbersFalse)v7_ordinal1 X2v7_ordinal1 X1False)(∀X2 X1, (m2_subset_1 (k24_binop_2 X1 X2) k1_numbers k5_numbersFalse)v7_ordinal1 X2v7_ordinal1 X1False)(∀X1 X2, (v1_xboole_0 X2False)(v1_xboole_0 X1False)v1_xcmplx_0 X2v1_xcmplx_0 X1v1_xboole_0 (k7_xcmplx_0 X1 X2)False)(∀X1 X2, (v1_xboole_0 X2False)(v1_xboole_0 X1False)v1_xcmplx_0 X2v1_xcmplx_0 X1v1_xboole_0 (k3_xcmplx_0 X1 X2)False)(∀X2 X1, ((k2_xcmplx_0 (k4_xcmplx_0 X1) (k4_xcmplx_0 X2)) = (k4_xcmplx_0 (k2_xcmplx_0 X1 X2))False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1 X3, (m1_subset_1 X1 X3False)r2_hidden X1 X2m1_subset_1 X2 (k1_zfmisc_1 X3)False)(∀X2 X1, (m1_subset_1 (k10_real_1 X1 X2) k1_numbersFalse)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, (m1_subset_1 (k8_real_1 X1 X2) k1_numbersFalse)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, (m1_subset_1 (k7_real_1 X1 X2) k1_numbersFalse)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1 X3, v1_xboole_0 X3r2_hidden X1 X2m1_subset_1 X2 (k1_zfmisc_1 X3)False)(∀X2 X1, (m1_subset_1 (k1_seq_1 X1 X2) k1_numbersFalse)v1_relat_1 X1v1_funct_1 X1v3_valued_0 X1False)(∀X2 X1, ((k10_real_1 X1 X2) = (k7_xcmplx_0 X1 X2)False)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, ((k8_real_1 X1 X2) = (k8_real_1 X2 X1)False)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, ((k8_real_1 X1 X2) = (k3_xcmplx_0 X1 X2)False)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, ((k7_real_1 X1 X2) = (k7_real_1 X2 X1)False)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, ((k7_real_1 X1 X2) = (k2_xcmplx_0 X1 X2)False)v1_xreal_0 X2m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, (m1_subset_1 (k9_binop_2 X1 X2) k1_numbersFalse)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, (m1_subset_1 (k3_binop_2 X1 X2) k2_numbersFalse)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, (m1_subset_1 (k5_binop_2 X1 X2) k2_numbersFalse)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, (m1_subset_1 (k11_binop_2 X1 X2) k1_numbersFalse)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, ((k1_funct_1 X1 X2) = (k1_seq_1 X1 X2)False)v1_relat_1 X1v1_funct_1 X1v3_valued_0 X1False)(∀X2 X1, (r1_tarski X1 X2False)m1_subset_1 X1 (k1_zfmisc_1 X2)False)(∀X2 X1, r2_hidden X2 X1r2_hidden X1 X2False)(∀X2 X1, (v1_xcmplx_0 (k7_xcmplx_0 X1 X2)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, (v1_xcmplx_0 (k3_xcmplx_0 X1 X2)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, (v1_xcmplx_0 (k2_xcmplx_0 X1 X2)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X1 X2, (v4_membered X2False)v4_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X1 X2, (v3_membered X2False)v3_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X1 X2, (v2_membered X2False)v2_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X1 X2, (v1_membered X2False)v1_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X1 X2, (v6_membered X2False)v6_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X1 X2, (v5_membered X2False)v5_membered X1m1_subset_1 X2 (k1_zfmisc_1 X1)False)(∀X2 X1, (m1_subset_1 X1 (k1_zfmisc_1 X2)False)r1_tarski X1 X2False)(∀X2 X1, ((k9_binop_2 X1 X2) = (k9_binop_2 X2 X1)False)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, ((k9_binop_2 X1 X2) = (k2_xcmplx_0 X1 X2)False)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, ((k3_xcmplx_0 X1 X2) = (k3_xcmplx_0 X2 X1)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k5_binop_2 X1 X2) = (k3_xcmplx_0 X1 X2)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k11_binop_2 X1 X2) = (k3_xcmplx_0 X1 X2)False)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, ((k24_binop_2 X1 X2) = (k3_xcmplx_0 X1 X2)False)v7_ordinal1 X2v7_ordinal1 X1False)(∀X2 X1, ((k3_binop_2 X1 X2) = (k3_binop_2 X2 X1)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k3_binop_2 X1 X2) = (k2_xcmplx_0 X1 X2)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k2_xcmplx_0 X1 X2) = (k2_xcmplx_0 X2 X1)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k23_binop_2 X1 X2) = (k2_xcmplx_0 X1 X2)False)v7_ordinal1 X2v7_ordinal1 X1False)(∀X2 X1, ((k5_binop_2 X1 X2) = (k5_binop_2 X2 X1)False)v1_xcmplx_0 X2v1_xcmplx_0 X1False)(∀X2 X1, ((k11_binop_2 X1 X2) = (k11_binop_2 X2 X1)False)v1_xreal_0 X2v1_xreal_0 X1False)(∀X2 X1, ((k23_binop_2 X1 X2) = (k23_binop_2 X2 X1)False)v7_ordinal1 X2v7_ordinal1 X1False)(∀X2 X1, ((k24_binop_2 X1 X2) = (k24_binop_2 X2 X1)False)v7_ordinal1 X2v7_ordinal1 X1False)(∀X1 X2, (v1_xboole_0 X2False)(r2_hidden X1 X2False)m1_subset_1 X1 X2False)(∀X1, (m1_subset_1 (k21_sin_cos X1) k1_numbersFalse)m1_subset_1 X1 k1_numbersFalse)(∀X1, (m1_subset_1 (k1_real_1 X1) k1_numbersFalse)m1_subset_1 X1 k1_numbersFalse)(∀X1, (m1_subset_1 (k18_sin_cos X1) k1_numbersFalse)m1_subset_1 X1 k1_numbersFalse)(∀X2 X1, (m1_subset_1 X1 X2False)r2_hidden X1 X2False)(∀X1 X2, (v7_ordinal1 X2False)v6_membered X1m1_subset_1 X2 X1False)(∀X1 X2, (v1_int_1 X2False)v5_membered X1m1_subset_1 X2 X1False)(∀X1 X2, (v1_rat_1 X2False)v4_membered X1m1_subset_1 X2 X1False)(∀X1 X2, (v1_xreal_0 X2False)v3_membered X1m1_subset_1 X2 X1False)(∀X1 X2, (v1_xxreal_0 X2False)v2_membered X1m1_subset_1 X2 X1False)(∀X1 X2, (v1_xcmplx_0 X2False)v1_membered X1m1_subset_1 X2 X1False)(∀X1, (v3_membered X1False)m1_subset_1 X1 (k1_zfmisc_1 k1_numbers)False)(∀X1, (v1_membered X1False)m1_subset_1 X1 (k1_zfmisc_1 k2_numbers)False)(∀X1, (v6_membered X1False)m1_subset_1 X1 (k1_zfmisc_1 k5_numbers)False)(∀X1, (v5_membered X1False)m1_subset_1 X1 (k1_zfmisc_1 k4_numbers)False)(∀X1, ((k1_real_1 (k1_real_1 X1)) = X1False)m1_subset_1 X1 k1_numbersFalse)(∀X1 X2, v1_xboole_0 X2r2_hidden X1 X2False)(∀X1, ((k1_real_1 X1) = (k4_xcmplx_0 X1)False)m1_subset_1 X1 k1_numbersFalse)(∀X1, ((k20_sin_cos X1) = (k21_sin_cos X1)False)m1_subset_1 X1 k1_numbersFalse)(∀X1, ((k17_sin_cos X1) = (k18_sin_cos X1)False)m1_subset_1 X1 k1_numbersFalse)(∀X1, (v1_xboole_0 X1False)v1_xcmplx_0 X1v1_xboole_0 (k4_xcmplx_0 X1)False)(∀X1, ((k3_xcmplx_0 X1 (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 X1)False)v1_xcmplx_0 X1False)(∀X1, ((k17_sin_cos (k4_xcmplx_0 X1)) = (k4_xcmplx_0 (k17_sin_cos X1))False)v1_xreal_0 X1False)(∀X1, (m1_subset_1 (k1_binop_2 X1) k2_numbersFalse)v1_xcmplx_0 X1False)(∀X1, (m1_subset_1 (k19_binop_2 X1) k4_numbersFalse)v1_int_1 X1False)(∀X1, (m1_subset_1 (k15_sin_cos X1) k2_numbersFalse)v1_xcmplx_0 X1False)(∀X1, (m1_subset_1 (k7_binop_2 X1) k1_numbersFalse)v1_xreal_0 X1False)(∀X1, (v1_xcmplx_0 X1False)m1_subset_1 X1 k2_numbersFalse)(∀X1, (v1_xcmplx_0 X1False)m1_subset_1 X1 k1_numbersFalse)(∀X1, (v6_membered X1False)m1_subset_1 X1 k5_numbersFalse)(∀X1, ((k1_seq_1 k19_sin_cos X1) = (k20_sin_cos X1)False)v1_xreal_0 X1False)(∀X1, ((k1_seq_1 k16_sin_cos X1) = (k17_sin_cos X1)False)v1_xreal_0 X1False)(∀X1, (v1_xboole_0 X1False)(v1_xcmplx_0 (k4_xcmplx_0 X1)False)v1_xcmplx_0 X1False)(∀X1, ((k20_sin_cos (k4_xcmplx_0 X1)) = (k20_sin_cos X1)False)v1_xreal_0 X1False)(∀X1, ((k3_xcmplx_0 np__1 X1) = X1False)v1_xcmplx_0 X1False)(∀X1, ((k7_xcmplx_0 X1 np__1) = X1False)v1_xcmplx_0 X1False)(∀X1, ((k2_xcmplx_0 X1 k6_numbers) = X1False)v1_xcmplx_0 X1False)(∀X1, ((k7_xcmplx_0 k6_numbers X1) = k6_numbersFalse)v1_xcmplx_0 X1False)(∀X1, ((k3_xcmplx_0 X1 k6_numbers) = k6_numbersFalse)v1_xcmplx_0 X1False)(∀X1, ((k4_xcmplx_0 (k4_xcmplx_0 X1)) = X1False)v1_xcmplx_0 X1False)(∀X1, ((k1_binop_2 (k1_binop_2 X1)) = X1False)v1_xcmplx_0 X1False)(∀X1, ((k19_binop_2 (k19_binop_2 X1)) = X1False)v1_int_1 X1False)(∀X1, ((k7_binop_2 (k7_binop_2 X1)) = X1False)v1_xreal_0 X1False)(∀X1, (v1_xcmplx_0 (k4_xcmplx_0 X1)False)v1_xcmplx_0 X1False)(∀X1, (v1_xcmplx_0 (k14_sin_cos X1)False)v1_xcmplx_0 X1False)(∀X2 X1, (X1 = X2False)v1_xboole_0 X2v1_xboole_0 X1False)(∀X1, ((k1_binop_2 X1) = (k4_xcmplx_0 X1)False)v1_xcmplx_0 X1False)(∀X1, ((k19_binop_2 X1) = (k4_xcmplx_0 X1)False)v1_int_1 X1False)(∀X1, ((k7_binop_2 X1) = (k4_xcmplx_0 X1)False)v1_xreal_0 X1False)(∀X1, ((k15_sin_cos X1) = (k14_sin_cos X1)False)v1_xcmplx_0 X1False)(∀X1, (v7_membered X1False)v1_xboole_0 X1False)(∀X1, (v4_membered X1False)v5_membered X1False)(∀X1, (v3_membered X1False)v4_membered X1False)(∀X1, (v2_membered X1False)v3_membered X1False)(∀X1, (v1_xcmplx_0 X1False)v7_ordinal1 X1False)(∀X1, (v1_membered X1False)v3_membered X1False)(∀X1, (v6_membered X1False)v1_xboole_0 X1False)(∀X1, (v5_membered X1False)v6_membered X1False)(∀X1, ((k21_sin_cos k6_numbers) = np__1False)v1_xreal_0 X1False)(∀X1, ((k18_sin_cos k6_numbers) = k6_numbersFalse)v1_xreal_0 X1False)(∀X1, (X1 = k1_xboole_0False)v1_xboole_0 X1False)((k15_sin_cos (k5_binop_2 (k7_binop_2 (k11_binop_2 (k23_binop_2 (k24_binop_2 np__2 esk1_0) np__1) k32_sin_cos)) k7_complex1)) = (k19_binop_2 np__1)False)(v1_finset_1 k2_numbersFalse)(v1_finset_1 k1_numbersFalse)(v1_finset_1 k4_numbersFalse)(v1_xboole_0 esk10_0False)(v1_xboole_0 esk9_0False)(v1_xboole_0 esk8_0False)(v1_xboole_0 esk5_0False)(v1_xboole_0 np__3False)(v1_xboole_0 k2_numbersFalse)(v1_xboole_0 k1_numbersFalse)(v1_xboole_0 k4_numbersFalse)(v1_xboole_0 np__1False)(v1_xboole_0 np__2False)(((k2_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = np__1False)False)(((k2_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = np__1False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) k1_xcmplx_0) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 np__1 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))) = k1_xcmplx_0False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) k1_xcmplx_0) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2))False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) k1_xcmplx_0) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 k1_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))) = (k4_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2))False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = (k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))) = np__1False)False)(((k3_xcmplx_0 np__1 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = np__1False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k7_xcmplx_0 np__1 (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) (k7_xcmplx_0 np__1 np__2)) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) (k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2))) = k1_xcmplx_0False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__2) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) (k4_xcmplx_0 np__1))False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0)) = np__0False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = np__0False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) = (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k2_xcmplx_0 k1_xcmplx_0 np__1))False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) = (k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) (k7_xcmplx_0 np__1 np__2))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1)) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1)) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1)) k1_xcmplx_0) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) np__1) = (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__2) np__1) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__2)False)False)(((k3_xcmplx_0 np__1 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 np__1 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) (k4_xcmplx_0 np__1))) = (k2_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__2) k1_xcmplx_0) = (k2_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) np__1)False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) (k4_xcmplx_0 np__1))False)False)(((k4_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0)False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) np__1))False)False)(((k4_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0)) = (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) np__1) = (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0)False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1))) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k7_xcmplx_0 np__1 np__2)) = (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__2) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) np__1)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) k1_xcmplx_0) = (k7_xcmplx_0 np__1 np__2)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) k1_xcmplx_0) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 k1_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = (k7_xcmplx_0 np__1 np__2)False)False)(((k3_xcmplx_0 k1_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k4_xcmplx_0 (k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1)) = (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1))False)False)(∀X2 X1, (m1_subset_1 (esk6_2 X1 X2) (k1_zfmisc_1 (k2_zfmisc_1 X1 X2))False)False)(∀X2 X1, (m1_subset_1 (esk4_2 X1 X2) (k1_zfmisc_1 (k2_zfmisc_1 X1 X2))False)False)(((k3_xcmplx_0 np__0 (k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0)) = np__0False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) k1_xcmplx_0) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k3_xcmplx_0 k1_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0)) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1)) = (k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1)) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1)) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) = (k2_xcmplx_0 np__1 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0))False)False)(∀X2 X1, (v1_funct_2 (esk4_2 X1 X2) X1 X2False)False)(((k1_seq_1 k16_sin_cos (k7_real_1 k32_sin_cos (k10_real_1 k32_sin_cos np__2))) = (k1_real_1 np__1)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) np__2) = k1_xcmplx_0False)False)(((k1_seq_1 k19_sin_cos (k7_real_1 k32_sin_cos (k10_real_1 k32_sin_cos np__2))) = k6_numbersFalse)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k4_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k4_xcmplx_0 np__2)False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) = (k4_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1)))False)False)(((k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) k1_xcmplx_0) = (k7_xcmplx_0 np__1 (k3_xcmplx_0 np__2 k1_xcmplx_0))False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1))False)False)(((k2_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) (k4_xcmplx_0 np__1)) = (k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__2) k1_xcmplx_0)False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)) = (k4_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) k1_xcmplx_0) = (k3_xcmplx_0 k1_xcmplx_0 (k7_xcmplx_0 np__1 np__2))False)False)(((k2_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) (k7_xcmplx_0 np__1 np__2)) = np__0False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__3 np__2) (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)) = np__0False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = np__0False)False)((m1_subset_1 k19_sin_cos (k1_zfmisc_1 (k2_zfmisc_1 k1_numbers k1_numbers))False)False)((m1_subset_1 k16_sin_cos (k1_zfmisc_1 (k2_zfmisc_1 k1_numbers k1_numbers))False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__2) (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k3_xcmplx_0 np__2 k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2) np__1) = (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) np__1) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__1) = (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) np__2) = (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) np__1) = (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1)) np__1) = (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1))False)False)(((k3_xcmplx_0 np__3 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__3) k1_xcmplx_0)False)False)(((k3_xcmplx_0 np__1 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)False)False)(((k3_xcmplx_0 np__2 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0)False)False)(((k2_xcmplx_0 np__0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k7_xcmplx_0 np__1 np__2)) = np__1False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k4_xcmplx_0 np__1)) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) (k7_xcmplx_0 np__1 np__2)) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k2_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) np__1) = (k7_xcmplx_0 np__1 np__2)False)False)(((k2_xcmplx_0 np__1 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = (k7_xcmplx_0 np__1 np__2)False)False)(((k2_xcmplx_0 np__2 (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)) = (k7_xcmplx_0 np__1 np__2)False)False)((m2_subset_1 np__3 k1_numbers k5_numbersFalse)False)((m2_subset_1 np__0 k1_numbers k5_numbersFalse)False)((m2_subset_1 k6_numbers k1_numbers k5_numbersFalse)False)((m2_subset_1 np__1 k1_numbers k5_numbersFalse)False)((m2_subset_1 np__2 k1_numbers k5_numbersFalse)False)((v1_funct_2 k19_sin_cos k1_numbers k1_numbersFalse)False)((v1_funct_2 k16_sin_cos k1_numbers k1_numbersFalse)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) (k7_xcmplx_0 np__3 np__2)) = (k7_xcmplx_0 np__1 np__2)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) k1_xcmplx_0) = (k2_xcmplx_0 k1_xcmplx_0 (k4_xcmplx_0 np__1))False)False)(((k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) (k4_xcmplx_0 np__2)) = np__1False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__2) (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = np__1False)False)(((k3_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) np__2) = (k4_xcmplx_0 np__1)False)False)(((k7_xcmplx_0 np__1 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = (k4_xcmplx_0 np__2)False)False)(((k3_xcmplx_0 np__2 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = (k4_xcmplx_0 np__1)False)False)(((k4_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)) = (k7_xcmplx_0 np__3 np__2)False)False)(((k4_xcmplx_0 (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)) = (k7_xcmplx_0 np__1 np__2)False)False)(((k4_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__3) k1_xcmplx_0)) = (k3_xcmplx_0 np__3 k1_xcmplx_0)False)False)(((k4_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0)) = (k3_xcmplx_0 np__2 k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__3 np__2) np__1) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) np__3) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) np__1) = (k7_xcmplx_0 np__1 np__2)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0) np__1) = (k3_xcmplx_0 np__2 k1_xcmplx_0)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__1) np__1) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k2_xcmplx_0 k1_xcmplx_0 np__2) np__1) = (k2_xcmplx_0 k1_xcmplx_0 np__2)False)False)(((k2_xcmplx_0 (k7_xcmplx_0 np__1 np__2) np__1) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 np__3 (k7_xcmplx_0 np__1 np__2)) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 np__1 (k7_xcmplx_0 np__3 np__2)) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 np__1 (k7_xcmplx_0 np__1 np__2)) = (k7_xcmplx_0 np__1 np__2)False)False)(((k2_xcmplx_0 np__1 (k7_xcmplx_0 np__1 np__2)) = (k7_xcmplx_0 np__3 np__2)False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) k1_xcmplx_0) = np__1False)False)(((k3_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0) k1_xcmplx_0) = np__2False)False)(((k2_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) k1_xcmplx_0) = np__0False)False)(((k7_xcmplx_0 np__1 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = k1_xcmplx_0False)False)(((k3_xcmplx_0 k1_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = np__1False)False)(∀X2 X1, (v5_relat_1 (esk6_2 X1 X2) X2False)False)(∀X2 X1, (v5_relat_1 (esk4_2 X1 X2) X2False)False)(∀X1 X2, (v4_relat_1 (esk6_2 X1 X2) X1False)False)(∀X1 X2, (v4_relat_1 (esk4_2 X1 X2) X1False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__3 np__2) (k4_xcmplx_0 np__2)) = (k4_xcmplx_0 np__3)False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) (k4_xcmplx_0 np__2)) = (k4_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__2) (k7_xcmplx_0 np__1 np__2)) = (k4_xcmplx_0 np__1)False)False)(((k4_xcmplx_0 (k7_xcmplx_0 np__3 np__2)) = (k7_xcmplx_0 (k4_xcmplx_0 np__3) np__2)False)False)(((k4_xcmplx_0 (k7_xcmplx_0 np__1 np__2)) = (k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2)False)False)(((k4_xcmplx_0 (k3_xcmplx_0 np__3 k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__3) k1_xcmplx_0)False)False)(((k4_xcmplx_0 (k3_xcmplx_0 np__2 k1_xcmplx_0)) = (k3_xcmplx_0 (k4_xcmplx_0 np__2) k1_xcmplx_0)False)False)(((k4_xcmplx_0 (k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0)) = k1_xcmplx_0False)False)(((k3_xcmplx_0 (k7_xcmplx_0 np__1 np__2) np__2) = np__1False)False)(((k7_xcmplx_0 np__1 (k7_xcmplx_0 np__1 np__2)) = np__2False)False)(((k1_seq_1 k19_sin_cos (k10_real_1 k32_sin_cos np__2)) = k6_numbersFalse)False)(((k1_seq_1 k19_sin_cos (k8_real_1 np__2 k32_sin_cos)) = np__1False)False)(((k1_seq_1 k16_sin_cos (k10_real_1 k32_sin_cos np__2)) = np__1False)False)(((k1_seq_1 k16_sin_cos (k8_real_1 np__2 k32_sin_cos)) = k6_numbersFalse)False)(((k3_xcmplx_0 np__0 (k7_xcmplx_0 np__1 np__2)) = np__0False)False)(((k3_xcmplx_0 np__2 (k7_xcmplx_0 np__1 np__2)) = np__1False)False)(((k7_xcmplx_0 (k4_xcmplx_0 np__1) np__2) = (k7_xcmplx_0 np__1 (k4_xcmplx_0 np__2))False)False)(∀X1 X2, (v1_funct_1 (esk4_2 X1 X2)False)False)(∀X1 X2, (v1_relat_1 (esk6_2 X1 X2)False)False)(∀X1 X2, (v1_relat_1 (esk4_2 X1 X2)False)False)(∀X1 X2, (v1_xboole_0 (esk6_2 X1 X2)False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) = (k7_xcmplx_0 np__1 k1_xcmplx_0)False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 np__2)False)False)(((k3_xcmplx_0 np__2 k1_xcmplx_0) = (k3_xcmplx_0 k1_xcmplx_0 np__2)False)False)(((k3_xcmplx_0 np__2 k1_xcmplx_0) = (k2_xcmplx_0 k1_xcmplx_0 k1_xcmplx_0)False)False)(((k2_xcmplx_0 np__1 k1_xcmplx_0) = (k2_xcmplx_0 k1_xcmplx_0 np__1)False)False)(∀X1, (m1_subset_1 (esk2_1 X1) X1False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__2) np__1) = (k4_xcmplx_0 np__2)False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) np__0) = (k4_xcmplx_0 np__1)False)False)(((k7_xcmplx_0 np__1 (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 np__1)False)False)(((k2_xcmplx_0 np__0 (k4_xcmplx_0 np__1)) = (k4_xcmplx_0 np__1)False)False)(((k3_xcmplx_0 (k4_xcmplx_0 np__1) k1_xcmplx_0) = (k4_xcmplx_0 k1_xcmplx_0)False)False)((m1_subset_1 k5_numbers (k1_zfmisc_1 k1_numbers)False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) np__3) = np__2False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) np__1) = np__0False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__1) np__2) = np__1False)False)(((k2_xcmplx_0 (k4_xcmplx_0 np__2) np__2) = np__0False)False)(((k2_xcmplx_0 np__3 (k4_xcmplx_0 np__1)) = np__2False)False)(((k2_xcmplx_0 np__1 (k4_xcmplx_0 np__1)) = np__0False)False)(((k2_xcmplx_0 np__2 (k4_xcmplx_0 np__1)) = np__1False)False)(((k2_xcmplx_0 np__2 (k4_xcmplx_0 np__2)) = np__0False)False)(∀X1, (r1_tarski X1 X1False)False)(((k1_seq_1 k19_sin_cos k32_sin_cos) = (k1_real_1 np__1)False)False)(((k3_xcmplx_0 k1_xcmplx_0 k1_xcmplx_0) = (k4_xcmplx_0 np__1)False)False)((m1_subset_1 esk1_0 k5_numbersFalse)False)((m1_subset_1 np__3 k1_numbersFalse)False)((m1_subset_1 np__3 k5_numbersFalse)False)((m1_subset_1 np__0 k1_numbersFalse)False)((m1_subset_1 np__0 k5_numbersFalse)False)((m1_subset_1 k1_xboole_0 k4_ordinal1False)False)((m1_subset_1 k7_complex1 k2_numbersFalse)False)((m1_subset_1 k32_sin_cos k1_numbersFalse)False)((m1_subset_1 np__1 k1_numbersFalse)False)((m1_subset_1 np__1 k5_numbersFalse)False)((m1_subset_1 np__2 k1_numbersFalse)False)((m1_subset_1 np__2 k5_numbersFalse)False)(((k7_xcmplx_0 np__1 np__1) = np__1False)False)(((k7_xcmplx_0 np__2 np__2) = np__1False)False)(((k1_seq_1 k16_sin_cos k32_sin_cos) = k6_numbersFalse)False)(((k3_xcmplx_0 np__3 np__1) = np__3False)False)(((k3_xcmplx_0 np__0 np__0) = np__0False)False)(((k3_xcmplx_0 np__0 k1_xcmplx_0) = np__0False)False)(((k3_xcmplx_0 np__0 np__1) = np__0False)False)(((k3_xcmplx_0 np__0 np__2) = np__0False)False)(((k3_xcmplx_0 k1_xcmplx_0 np__0) = np__0False)False)(((k3_xcmplx_0 k1_xcmplx_0 np__1) = k1_xcmplx_0False)False)(((k3_xcmplx_0 np__1 np__3) = np__3False)False)(((k3_xcmplx_0 np__1 np__0) = np__0False)False)(((k3_xcmplx_0 np__1 k1_xcmplx_0) = k1_xcmplx_0False)False)(((k3_xcmplx_0 np__1 np__1) = np__1False)False)(((k3_xcmplx_0 np__1 np__2) = np__2False)False)(((k3_xcmplx_0 np__2 np__0) = np__0False)False)(((k3_xcmplx_0 np__2 np__1) = np__2False)False)(((k2_xcmplx_0 np__0 np__0) = np__0False)False)(((k2_xcmplx_0 np__0 np__1) = np__1False)False)(((k2_xcmplx_0 np__0 np__2) = np__2False)False)(((k2_xcmplx_0 np__1 np__0) = np__1False)False)(((k2_xcmplx_0 np__1 np__1) = np__2False)False)(((k2_xcmplx_0 np__1 np__2) = np__3False)False)(((k2_xcmplx_0 np__2 np__0) = np__2False)False)(((k2_xcmplx_0 np__2 np__1) = np__3False)False)(((k4_xcmplx_0 (k4_xcmplx_0 np__3)) = np__3False)False)(((k4_xcmplx_0 (k4_xcmplx_0 np__1)) = np__1False)False)(((k4_xcmplx_0 (k4_xcmplx_0 np__2)) = np__2False)False)((v2_xxreal_0 np__3False)False)((v2_xxreal_0 np__1False)False)((v2_xxreal_0 np__2False)False)((v1_funct_1 k19_sin_cosFalse)False)((v1_funct_1 k16_sin_cosFalse)False)((v7_membered esk10_0False)False)((v7_membered k4_ordinal1False)False)((v7_membered k2_numbersFalse)False)((v7_membered k1_numbersFalse)False)((v7_membered k4_numbersFalse)False)((v1_xboole_0 np__0False)False)((v1_xreal_0 k31_sin_cosFalse)False)((v3_membered k1_numbersFalse)False)((v1_xcmplx_0 esk9_0False)False)((v1_xcmplx_0 esk7_0False)False)((v1_xcmplx_0 k1_xcmplx_0False)False)((v1_membered k2_numbersFalse)False)((v6_membered esk10_0False)False)((v6_membered esk8_0False)False)((v6_membered esk5_0False)False)((v6_membered k4_ordinal1False)False)((v5_membered k4_numbersFalse)False)(((k4_xcmplx_0 np__0) = np__0False)False)((k6_numbers = k1_xboole_0False)False)((k5_numbers = k4_ordinal1False)False)((k7_complex1 = k1_xcmplx_0False)False)((k31_sin_cos = k32_sin_cosFalse)False)False
Proof:
The rest of the proof is missing.